Utilize este identificador para referenciar este registo: http://hdl.handle.net/10071/30565
Autoria: Susskind, Z.
Arora, A.
Miranda, I. D. S.
Bacellar, A. T. L.
Villon, L. A. Q.
Katopodis, R. F.
Araújo, L. S. de
Dutra, D. L. C.
Lima, P. M. V. L.
França, F.
Breternitz, M.
John, L. K.
Data: 2023
Título próprio: ULEEN: A novel architecture for ultra low-energy edge neural networks
Título da revista: ACM Transactions on Architecture and Code Optimization
Volume: 20
Número: 4
Referência bibliográfica: Susskind, Z., Arora, A., Miranda, I. D. S., Bacellar, A. T. L., Villon, L. A. Q., Katopodis, R. F., Araújo, L. S. de, Dutra, D. L. C., Lima, P. M. V. L., França, F., Breternitz, M., & John, L. K. (2023). ULEEN: A novel architecture for ultra low-energy edge neural networks. ACM Transactions on Architecture and Code Optimization, 20(4), 61. https://dx.doi.org/10.1145/3629522
ISSN: 1544-3566
DOI (Digital Object Identifier): 10.1145/3629522
Palavras-chave: Weightless neural networks
WiSARD
Neural networks
Inference
Edge computing
MLPerf tiny
High throughput computing
Resumo: "Extreme edge"1 devices, such as smart sensors, are a uniquely challenging environment for the deployment of machine learning. The tiny energy budgets of these devices lie beyond what is feasible for conventional deep neural networks, particularly in high-throughput scenarios, requiring us to rethink how we approach edge inference. In this work, we propose ULEEN, a model and FPGA-based accelerator architecture based on weightless neural networks (WNNs). WNNs eliminate energy-intensive arithmetic operations, instead using table lookups to perform computation, which makes them theoretically well-suited for edge inference. However, WNNs have historically suffered from poor accuracy and excessive memory usage. ULEEN incorporates algorithmic improvements and a novel training strategy inspired by binary neural networks (BNNs) to make significant strides in addressing these issues. We compare ULEEN against BNNs in software and hardware using the four MLPerf Tiny datasets and MNIST. Our FPGA implementations of ULEEN accomplish classification at 4.0-14.3 million inferences per second, improving area-normalized throughput by an average of 3.6× and steady-state energy efficiency by an average of 7.1× compared to the FPGA-based Xilinx FINN BNN inference platform. While ULEEN is not a universally applicable machine learning model, we demonstrate that it can be an excellent choice for certain applications in energy- and latency-critical edge environments.
Arbitragem científica: yes
Acesso: Acesso Aberto
Aparece nas coleções:ISTAR-RI - Artigos em revistas científicas internacionais com arbitragem científica

Ficheiros deste registo:
Ficheiro TamanhoFormato 
article_98470.pdf3,44 MBAdobe PDFVer/Abrir


FacebookTwitterDeliciousLinkedInDiggGoogle BookmarksMySpaceOrkut
Formato BibTex mendeley Endnote Logotipo do DeGóis Logotipo do Orcid 

Todos os registos no repositório estão protegidos por leis de copyright, com todos os direitos reservados.